数字秒表设计(终结版)

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

河南机电高等专科学校《可编程逻辑器件原理与应用》课程设计报告数字秒表设计专业班级:医电131学号:130411116姓名:徐长伟时间:2015年6月成绩:河南机电高等专科学校《可编程逻辑器件原理与应用》课程设计报告电梯控制器的设计专业班级:学号:姓名:时间:成绩:数字秒表设计摘要:本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时,通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。关键词:FPGA;数字秒表;VHDL目录1.概述...................................................................................................................................32.设计要求...........................................................................................................................32.1实验任务及要求...............................................................................................................33.总体构思...........................................................................................................................33.1系统总体框图...................................................................................................................44.各单元电路的设计和实现...............................................................................................44.1数字秒表的电路逻辑图...................................................................................................44.2时序波形图如下:...........................................................................................................44.3顶层程序框图如下:.......................................................................................................45.功能仿真及其结果...........................................................................................................55.1分频模块...........................................................................................................................55.2计数模块...........................................................................................................................55.3势能控制模块...................................................................................................................55.4显示控制模块...................................................................................................................56.编译、下载及调试...........................................................................................................76.1各功能模块VHDL程序十分之一秒.................................................................................77.总结.................................................................................................................................201.概述超高速硬件描述语言VHDL是数字系统进行抽象的行为与功能描述道具体的内部线路结构描述,利用EDA工具可以在电子设计的各个阶段各个层系进行计算机模拟验证,保证设计过程中的正确性,可大大降低设计成本,缩短设计周期。本文介绍的数字秒表设计,。利用基于VHDL的EDA设计工具,采用大规模可编程逻辑器件FPGA,通过设计芯片来实现系统功能。应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了系统的开发时间,提高了工作效率。本文介绍一种以FPGA为核心,以VHDL为开发工具的数字秒表,并给出源程序和仿真结果。2.设计要求2.1实验任务及要求设计用于体育比赛用的数字秒表,要求:1.及时精度大雨1/1000秒,计数器能显示1/1000秒时间,提供给计时器内部定时的始终频率为12MHz;计数器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒。2、设计有复位和起/停开关。(1)、复位开关用来使计时器清零,并做好计时准备。(2)、起/停开关的使用方法与传统的机械式计数器相同,即按一下起/停开关,启动计时器开始计时,再按一下起/停开关计时终止。(3)、复位开关可以在任何情况下使用,即使在计时过程中,只要按一下复位开关,计时进程理科终止,并对计时器清零。3、采用层次设计方法设计符合上述功能要求的数字秒表。4、对电路进行功能仿真,通过波形确认电路设计是否正确。5、完成电路传布设计后,通过实验箱下载验证设计的正确性。3.总体构思3.1系统总体框图数字秒表主要有分频器、计数模块、功能控制模块、势能控制模块和显示输出模块组成。系统框图如图3-1所示。图表3-1本次的设计仿真选用以EP1C6Q240芯片为核心的FPGA开发板,该开发板提供了较完善的外围周边电路和信号接口,并提供了一块4位7段数码管的扩展板,为本次设计提供了硬件条件。在设计中,功能控制模块根据控制选择不同的功能状态的时间输出,通过势能控制模块和显示输出模块驱动7段数码管显示相应的时间。4.各单元电路的设计和实现4.1数字秒表的电路逻辑图图表4-14.2时序波形图如下:图表4-24.3顶层程序框图如下:图表4-3清零CLR开关ENA时钟CLK十分之一秒秒个位(10进制)秒十位(6进制)分个位(10进制)分十位(6进制)闹钟(预置时间)5.功能仿真及其结果5.1分频模块开发板提供的系统时钟为50MHz,通过分频模块3次分频,将系统的时钟信号分为100Hz和1000Hz分别提供给计数模块和势能控制模块作为时钟控制信号。该模块部分VHDL源程序如下:5.2计数模块计数模块中,时钟信号是100Hz作为秒表的百分秒输入,百分秒为100进制计数器,其进位输出作为秒的计数时钟,秒为60进制计数器。控制信号输入端的begin-stop和reset信号控制计数器的开始、停止和至零。该模块部分VHDL源程序如下,方针结果如图5-1所示:图表5-15.3势能控制模块本次设计选用的开发板数码管扩展板的数码显示采用的是4个数码管动态扫描输出,一般只要每个扫描频率超过人的眼睛视觉暂留频率24Hz以上就可以达到点亮单个显示而不闪烁,扫描频率采用1kHz信号。通过势能控制,每个的显示频率为250Hz,满足显示要求。该模块部分VHDL源程序如下:5.4显示控制模块本次设计选用的开发板在4位数码管输入方面只提供1个数据接口,用来动态显示4位数据,在数据输入信号方面要做到和势能控制信号同频率输出,才能保证数码显示不会出错或显示移位。该模块部分VHDL源程序如下:同时通过控制信号示系统处在不同的功能状态:系统时间运行状态,系统时间至零状态,时钟正常显示状态。利用功能转换信号实现3个功能状态之间的转换,并产生相应的控制信号去控制显示输出模块不同状态的正确显示。其部分源程序如下:各部分模块完成后,用QuartusⅡ对程序编译、仿真、得到的仿真波形如图5-2所示。图表5-2本系统采用的FPGA芯片为Altera公司的EP1C6Q240,用VHDL和QuartusⅡ软件工具开发,设计输入完成后,进行整体的编译和逻辑仿真,然后进行转换、延时仿真生成配置文件,最后下载至FPGA器件,完成结果功能配置,实现其硬件功能。6.编译、下载及调试6.1各功能模块VHDL程序十分之一秒libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityMINSECONDbisport(clk,clrm,stop:instd_logic;secm0:outstd_logic_vector(3downto0);co:outstd_logic);endMINSECONDb;architectureSECofMINSECONDbisbeginprocess(clk,clrm)variablecnt0:std_logic_vector(3downto0);beginifclrm='1'thencnt0:=0000;elsifclk'eventandclk='1'thenifstop='0'thenifcnt0=1001thenco='1';cnt0:=0000;elsifcnt01001thencnt0:=cnt0+1;co='0';endif;elsecnt0:=cnt0;endif;endif;secm0=cnt0;endprocess;endSEC;秒libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitySECONDisport(clk,clr:instd_logic;sec1,sec0:outstd_logic_vector(3downto0);co:outstd_logic);endSECOND;architectureSECofSECONDisbeginprocess(clk,clr)variablecnt1,cnt0:std_logic_vector(3downto0);beginifclr='1

1 / 21
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功