四选一数据选择器的设计

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

实验三:四选一数据选择器设计一、实验目的掌握Verilog语言中的case语句、if-else语句。二、四选一数据选择器原理电路功能表及其电路图如下:电路功能表A1A0Y00D001D110D211D3三、程序四、仿真结果D0D1YMux4-1A1D2D3A0五、实验总结通过本次实验我掌握Verilog语言中的case语句、if-else语句的应用,实验中有时候自己遇到了困难,同桌很积极的帮我解决了问题。让我体验到了合作的快乐,并且我也能更加自如的运用quartusII软件,对以后的实验也更加有兴趣。

1 / 2
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功