Xilinx公司ISE101软件及简单设计流程介绍

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

Xilinx公司ISE10.1软件介绍及整体开发流程张建2015.4.1Xilinx公司ISE10.1软件介绍--主要功能ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,从功能上讲,完成CPLD/FPGA的设计流程无需借助任何第三方EDA软件。下面简要说明各功能的作用:1、设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(TheISETextEditor),用于原理图编辑的工具ECS(TheEngineeringCaptureSystem),用于生成IPCore的CoreGenerator,用于状态机设计的StateCAD以及用于约束文件编辑的ConstraintEditor等。Xilinx公司ISE10.1软件介绍--主要功能2、综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST(XilinxSynthesisTechnology),同时还可以内嵌MentorGraphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。3、仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDLBencher,同时又提供了使用ModelTech公司的Modelsim进行仿真的接口。Xilinx公司ISE10.1软件介绍--主要功能4、实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。5、下载:下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了IMPACT,功能是进行芯片配置和通信,控制将程序烧写到FPGA芯片中去。Xilinx公司ISE10.1软件介绍--主界面Xilinx公司ISE10.1软件介绍--源文件(source)子窗口这个窗口有三个标签:源(Source)、Snapshots(快照)、Library(库)。源标签内显示工程名、指定的芯片和设计相关文档。在设计视图的每一个文件都有一个相关的图标,这个图标显示的是文件的类型(HDL文件、原理图、IP核和文本文件)。‘+’表示该设计文件包含了更低层次的设计模块。标签内显示的是目前所打开文件快照。一个快照是在该工程里所有文件的一个拷贝。通过该标签可以察看报告、用户文档和源文件。该标签下所有的信息只读。库标签内显示与当前工程相关的库。Xilinx公司ISE10.1软件介绍--处理(process)子窗口在该窗口只有一个处理标签。该标签有下列功能:添加已有文件;创建新文件;察看设计总结(访问符号产生工具,例化模板,察看命令行历史和仿真库编辑);用户约束文件(访问和编辑位置和时序约束);综合(检查语法、综合、察看RTL和综合报告);设计实现(访问实现工具,设计流程报告和其它一些工具);产生可编程文件(访问配置工具和产生比特流文件)。Xilinx公司ISE10.1软件介绍--脚本(transcript)子窗口脚本子窗口有5个默认标签:Console,error,warnings,Tclshell,findinfile。Console标签显示错误、警告和信息。X表示错误,!表示警告。Warning标签只显示警告消息。Error标签只显示错误消息。Tclshell标签是与设计人员的交互控制台。除了显示错误、警告和信息外,还允许输入ISE特定命令。Findinfile标签显示的是选择EditFindinFile操作后的查询结果。Xilinx公司ISE10.1软件介绍--工作区(Workspace)子窗口工作区子窗口提供了设计总结、文本编辑器、ISE仿真器/波形编辑器、原理图编辑器功能。设计总结提供了关于该设计工程的更高级信息,包括信息概况、芯片资源利用报告、与布局布线相关性能数据、约束信息和总结信息等。源文件和其它文本文件可以通过设计人员指定的编辑工具打开。编辑工具的选择由Edit-Preference属性决定,默认ISE的文本编辑器,通过该编辑器可以编辑源文件和用户文档,也可以访问语言模板。Xilinx公司ISE10.1软件介绍--整体工作流程1.创建工程行为仿真2.综合3.管脚约束4.实现5.时序仿真6.生成bit流文件7.下载Xilinx公司ISE10.1软件设计流程介绍--打开ISE软件时的面板当以前使用过该软件时会默认打开上一个工程。Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程选择芯片类型选择综合工具选择仿真工具选择代码语言这里可以新建一个文件,也可以在工程属性建立完成后在工程内新建,我们选择NextXilinx公司ISE10.1软件设计流程介绍--创建一个新工程这里可以添加工程文件,也可以在工程建立后添加,我们选择NextXilinx公司ISE10.1软件设计流程介绍--创建一个新工程给出了整个工程大致属性FinishXilinx公司ISE10.1软件设计流程介绍--创建一个新工程完成后在Sources窗口中显示工程文件夹以及工程所用芯片。在该窗口中右键可以新建文件,添加已经写好的文件,添加文件并复制该文件到工程文件夹中。Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程该文件的实体名新建文件的类型,不同的类型有着不同的功能和意义。Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程端口名端口的类型及位数NextXilinx公司ISE10.1软件设计流程介绍--添加实体端口给出了该文件的概要FinishXilinx公司ISE10.1软件设计流程介绍--添加实体端口Xilinx公司ISE10.1软件设计流程介绍--添加实体端口双击gate文件自动生成实体结构生成了结构体框架只需加入逻辑语句即可Xilinx公司ISE10.1软件设计流程介绍--自动生成文件结构框架添加的逻辑代码--之后为注释语句Xilinx公司ISE10.1软件设计流程介绍--添加代码及注释设计中常用的四个操作:综合、实现、生成bit文件、下载这个图标指示顶层文件选中顶层文件,Processes窗口中给出能操作的项目双击XST进行综合操作Xilinx公司ISE10.1软件设计流程介绍NextXilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件选择所要仿真的VHDL文件NextXilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件给出该波形文件的相关属性FinishXilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件仿真波形的设置界面:这里显示的主要是时钟方面的设置。Xilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件增对该工程设置方式如图波形文件长度的设置FinishXilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件在这里设置输入波形可以进行窗口的切换Xilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件切换到行为仿真如图设置输入波形并保存选中刚设计波形文件Processes窗口右键选择属性设置Xilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件设置仿真时间与建立波形文件的时间相同点击OKXilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件双击进行行为仿真仿真波形验证设计逻辑的正确性Xilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件通过Sources窗口切换到ImplementationNextUCF文件的属性概要FinishXilinx公司ISE10.1软件设计流程介绍--添加管脚约束文件(.UCF文件)Xilinx公司ISE10.1软件设计流程介绍--对管脚进行约束选中顶层文件在Processes窗口中双击进行对应管脚的约束保存关闭两种方法Xilinx公司ISE10.1软件设计流程介绍--对管脚进行约束单击选中双击查看Xilinx公司ISE10.1软件设计流程介绍--查看或修改管脚约束文件选中顶层文件双击进行实现操作双击产生.bit文件Xilinx公司ISE10.1软件设计流程介绍--工程实现及产生位流文件默认选择边界扫描方式Xilinx公司ISE10.1软件设计流程介绍--下载bit文件点击Finish出现以下窗口弹出的窗口是为Prom配置文件,这里不配置选择CancelXilinx公司ISE10.1软件设计流程介绍--下载bit文件弹出的窗口是为芯片配置bit文件,选择gate.bit,点击OpenXilinx公司ISE10.1软件设计流程介绍--下载bit文件采用默认设置,点击okXilinx公司ISE10.1软件设计流程介绍--下载bit文件右键点击ok出现下载进度条,下载成功后弹出:Xilinx公司ISE10.1软件设计流程介绍--下载bit文件Xilinx公司ISE10.1软件设计流程介绍--开发板展示

1 / 44
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功