eda电子钟

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

i课程设计课程名称___VHDL与集成电路设计___题目名称___电子钟VHDL设计______学生学院___物理与光电工程学院___专业班级_____________学号_____________学生姓名_________________指导教师_______________2014年12月19日ii目录一、前言........................................................................................................................11.1EDA技术简介.................................................................................................11.2EDA的发展前景.............................................................................................1二、设计内容及要求....................................................................................................12.1设计内容...........................................................................................................12.2设计要求..........................................................................................................12.3实验目的..........................................................................................................2三、设计原理及框图....................................................................................................23.1设计原理...........................................................................................................23.2设计框图..........................................................................................................2四、模块程序设计........................................................................................................44.1秒、分模块程序及仿真..................................................................................44.2时模块程序及仿真..........................................................................................64.3消抖模块..........................................................................................................74.4顶层文件设计..................................................................................................8五、调试......................................................................................................................11六、心得总结..............................................................................................................12参考文献......................................................................................................................121一、前言1.1EDA技术简介电子系统设计自动化(EDA:ElectronicDesignAutomation)已成为不可逆转的潮流,它是包含CAD、CAE、CAM等与计算机辅助设计或设计自动化等相关技术的总称。随着信息时代的到来,信息电子产品已不断地向系统高度集成化和高度微型化发展,使得传统的手工设计和生产技术无法满足信息产品的社会和市场需要,因此,人们开始借助于EDA技术进行产品的设计和开发。目前EDA技术主要是以计算机软件工具形式表现出来的,对于现代复杂的电子产品设计和开发来说,一般需要考虑“自上而下”三个不同层次内容的设计(即:系统结构级设计,PCB板级设计和IC集成芯片级设计)。ProtelDXP软件系统是一套建立在IBM兼容PC环境下的CAD电路集成设计系统,它是世界上第一套EDA环境引入到Windows环境的EDA开发工具,具有高度的集成性和可扩展性。本设计就是利用ProtelDXP进行原理图设计、PCB布局布线、进行电路仿真测试。通过本设计充分了解到ProtelDXP的特点并且充分掌握了ProtelDXP的设计系统的基础知识。1.2EDA的发展前景随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前言之一。由于在电子系统设计领域中的明显优势,基于大规模可编程器件解决方案的EDA技术及其应用在近年中有了巨大的发展,将电子发展技术再次推向了又一崭新的历史阶段。这些新的发展大致包含了这样6个方面:1.新器件;2.新工具软件;3.嵌入式系统设计;4.DSP系统设计;5.计算机处理器设计;6.与ASIC市场的竞争技术。二、设计内容及要求2.1设计内容设计一个电子钟,要求可以显示时、分、秒,用户可以设置时间。2.2设计要求①设计思路清晰,整体设计给出框图,提供顶层电路图;②应用vhdl完成各次级模块设计,绘出具体设计程序;2③完成设计仿真和程序下载;④写出实验报告2.3实验目的①掌握多位计数器相连的设计方法。②掌握二十四进制,六十进制计数器的设计方法。③掌握VHDL技术的层次化设计方法。④了解软件的元件管理含义以及模块元件之间的连接概念。⑤掌握电子电路一般的设计方法,并了解电子产品的研制开发过程,基本掌握电子电路安装和调试的方法。⑥培养独立分析问题,解决问题的能力三、设计原理及框图3.1设计原理首先,电子钟的时钟信号的分、秒都是60进制的计数信号,小时则为24进制的计数信号。由此,可以设置3个模块,分别为秒模块、分钟模块和小时模块。首先开关打开后,给秒模块接一个1Hz的时钟信号,使秒模块开始对时钟的上升沿计数,每来一个时钟上升沿,秒模块加1。每当计满一个周期后,即60秒,会向分钟模块产生进位信号,同时向秒模块发出重置信号,使秒模块为00。然后重新对时钟上升沿计数,当秒模块显示为59之后,下一个时钟上升沿到来时又向分模块产生进位信号,秒模块重新被置为00,如此循环。分钟模块对秒模块的进位信号进行计数。来一个秒进位,分模块加1。当分钟模块计满一个周期后,即60分钟,同样向小时模块产生进位信号并向本模块发出重置信号,使分钟模块为00。然后重新对秒模块的进位信号进行计数,当分模块显示为59时,下一个秒模块进位信号到来时,分模块又向小时模块产生进位信号,分模块重新被置为00,如此循环。小时模块对分钟模块进位信号的数目进行计数,来一个进位信号,小时模块加1。当大于23后,小时模块向本模块发出重置信号,使小时模块显示为00,开始新一轮的计数。以此来实现24小时的计时功能。复位功能的设计,可以用控制信号控制各个模块的重置功能即可实现。3.2设计框图①系统顶层框图,如下图13图1系统顶层框图②电子钟结构框图,如下图2图2数字钟结构框图计数器时钟信号复位控制调分按键消抖按键消抖调时数码管显示1Hz时十位时个位分十位分个位秒十位秒个位译码器译码器译码器译码器译码器译码器时计数器分计数器秒计数器按键消抖按键消抖时钟信号复位控制小时调节分钟调节1Hz1MHz进位信号进位信号4四、模块程序设计4.1秒、分模块程序及仿真秒和分计数模块是60进制计数器,计数到59后,下一个计数脉冲到来时清零,并向高位发出进位信号,然后重新从00开始计数。VHDL的RTL描述如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcnt_smISPORT(CLK,CLR:INSTD_LOGIC;OUT_H:OUTSTD_LOGIC_VECTOR(3DOWNTO0);OUT_L:OUTSTD_LOGIC_VECTOR(3DOWNTO0);C:OUTSTD_LOGIC);ENDcnt_sm;ARCHITECTUREbehavOFcnt_smISSIGNALS_H:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALS_L:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK,CLR)BEGINIFCLK'EVENTANDCLK='1'THENIFS_L(3DOWNTO0)1001THENS_L(3DOWNTO0)=S_L(3DOWNTO0)+1;C='0';ELSIFS_H(3DOWNTO0)0101THENS_L(3DOWNTO0)=0000;S_H(3DOWNTO0)=S_H(3DOWNTO0)+1;C='0';ELSES_L(3DOWNTO0)=0000;S_H(3DOWNTO0)=0000;C='1';ENDIF;ENDIF;IFCLR='1'THENS_L(3DOWNTO0)=0000;S_H(3DOWNTO0)=0000;5ENDIF;OUT_H=S_H;OUT_L=S_L;ENDPROCESS;ENDbehav;秒、分计数模块的仿真波形如图3、图4:图3图4波形分析:从仿真波形可知,当计数到59时,即OUT_H=0101,OUT_L=1001时,下一个时钟上升沿到来时就清零了,即OUT_H=0000,OUT_L=0000,并且产生进位信号,即C=1,然后重新开始计数,如此循环,符合设计要求。64.2时模块程序及仿真时计数模块就是一个24进制计数器,记数到23时,下一个时钟脉冲到来时清零,重新从00开始计数。VHDL的RTL描述如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcnt_hISPORT(CLK,CLR:INSTD_LOGIC;OUT_H:OUTSTD_LOGIC_

1 / 14
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功