数电课设 2

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

沈阳理工大学数字集成电路课程设计报告II成绩评定表学生姓名陈芝侠班级学号1003040109专业电子科学与技术课程设计题目洗衣机控制电路设计评语组长签字:成绩日期20年月日沈阳理工大学数字集成电路课程设计报告IIII课程设计任务书学院信息科学与工程学院专业电子科学与技术学生姓名陈芝侠班级学号1003040109课程设计题目洗衣机控制电路设计实践教学要求与任务:内容与要求:全自动洗衣机控制电路(1)按需要可以任意设定洗衣时间;(2)洗衣机的工作状态由正转10s,暂停5s,反转10s,暂停5s依次循环直到洗衣完成。洗衣结束报警2s;(3)数码显示洗衣剩余时间;(4)LED灯显示当前的工作状态;工作计划与进度安排:本课程设计持续10天,其中最后一天(依例周5)为答辩时间。第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始设计方案和验证方案的准备;第3-4天:完成设计与验证方案,经指导老师验收后进入模块电路设计;第5-7天:完成模块电路设计,进行代码输入,并完成代码的仿真;第8-9天:约束设计,与CPU集成综合、下载,用c语言实现操作,并指导老师验收设计;整理设计资料,撰写报告、准备答辩;第10天:验收合格后进行答辩。指导教师:201年月日专业负责人:201年月日学院教学副院长:201年月日沈阳理工大学数字集成电路课程设计报告IIIIII摘要在上学期FPGA课程设计中,我完成了全自动洗衣机RTL级的代码设计。在此过程中,完整地建立了测试平台,完成了功能和时序仿真,从而保证了设计的功能与时序的正确性。但是功能和时序的正确性并不能说明这是一个符合条件的实际电路。我们必须针对层次化的组合电路或者时序电路的速度、面积和可布性进行优化。按照所定义的电路的测量特征所要达到的目标。我们利用DesignCompile这个综合软件,把RTL级的代码转化为门级网表。经过转译,优化,映射三个综合过程。在转译的过程中,软件自动将源代码翻译成每条语句所对应的功能模块以及模块之间的拓扑结构,这一过程不做任何的逻辑重组和优化。我们通过施加的一定时序和面积的约束条件,综合器按照一定的算法对转译结果作逻辑优化和重组。在映射过程中,根据所施加的一定的时序和面积的约束条件,综合器从目标工艺库中搜索符合条件的单元来构成实际电路。查看结果,证明全自动洗衣机设计完全符合设计条件。关键词:DesignCompile;映射;优化设计;时序约束沈阳理工大学数字集成电路课程设计报告IVIV目录引言...................................................................................................错误!未定义书签。1总体电路结构设计.........................................................错误!未定义书签。1.1电路功能与性能...........................................................................错误!未定义书签。1.2电路接口......................................................................................错误!未定义书签。1.3电路功能框图..............................................................................................................41.4验证方案......................................................................................................................42约束策略及脚本.............................................................................................52.1约束策略....................................................................................................................52.2脚本...........................................................................................错误!未定义书签。3综合过程..........................................................................错误!未定义书签。4结果分析报告...............................................................................................115总结................................................................................................................16参考文献..............................................................................................................17附录A:设计源代码..........................................................................................18附录B:设计约束..............................................................................................20沈阳理工大学数字集成电路课程设计报告11引言FPGA的迅速发展与电子设计自动化的实现是息息相关的,由于其具有用户可重复编程的特点,人们利用FPGA可以设计专门的IC,实现了系统的集成从而大大缩短了产品开发,上市时间,还降低了开发成本,因此FPGA在电子设计领域得到了广泛的发展。本设计实现的是全自动洗衣机设计,基本流程是这样的:首先根据设计任务要求进行方案的设计,包括引脚确定、时序关系、功能框图与模块划分、调度与控制方法等;然后依据模块设计进行模块VerilogHDL代码的输入与功能仿真,进行电路的约束与逻辑综合;综合结果无误后进行布局与布线,生成配置文件;在下载前进行时序分析;最后下载、测试,从而完成设计。逻辑综合主要是将HDL语言描述的电路转换为工艺库器件构成的网表的过程。综合工具目前比较主流的是synopsys公司DesignCompiler,我们在设计实践过程中采用这一工具。随着集成电路技术的发展,集成电路追求更高的发展,采用软件开发模拟,开发成本低,而且在功能设计上有了很大的灵活度。同时,该设计的精度更高,并且不需要维修。综上所述,本设计具有设计方便、功能多样、电路简洁、成本低廉等优点。符合社会发展趋势,前景广阔。沈阳理工大学数字集成电路课程设计报告221电路结构1.1电路功能与性能全自动洗衣机的功能主要体现在五个方面,洗衣时间的设定,洗衣时间完全可以人为地决定,这是与普通洗衣机的最大不同地方。按键的消抖,由于我们在按下键盘的时候其实并不只是按下去而是有一个抖动的过程,就连松手的过程也有抖动,然而这个抖动通常被人们所忽略,从而导致输入数据的错误和乱码,因此我们必须对按键进行按键的消抖处理。时钟的分频,由于使用的FPGA的输入时钟是10Mhz,定时模块自减频率是1hz,因此需要进行分频得到1hz的时钟频率输入给定时模块。定时器设定和自减模块,定时器设定和自减模块可以设定定时器的工作时间,通过输入1hz的信号使定时器的值每秒自减1,直至为0。其定时器的值输出给数码管译码模块和时序控制模块。工作控制中心,时序控制模块由定时器送来的值来控制洗衣机控制器的工作方式,正转、反转、停转和报警。电路具体的功能细节如下:1)为了更方便的设定洗衣时间,这个电路设计了两个按键DownKey和UpKey,UpKey则表示增加洗衣时间,每按一次键意味着洗衣时间增加1s,DownKe则表示减少洗衣时间,每按一次键意味着洗衣时间减少1s。2)为了避免人为地按键不稳的情况,我们对键盘输入进行延时检测,经过一个延时采纳一次,经过多次这个过程,直到确定了键盘输入稳定为止,这样就减少了乱码等情况。3)洗衣机因为要显示它的洗衣的时间,它是以秒显示的,因此要产生一个一秒的信号,每隔一秒对总的洗衣时间减一秒,具体的电路是用一个计数器实现的,对时钟频率10mhz进行10000000次的计数便可产生一个一秒的信号,它作为定时器自减模块的时钟。4)洗衣机的洗衣时间起初有一个初值50秒,人们对总的洗衣时间还可通过DownKey键和UpKey键自己调整,在洗衣的过程中洗衣显示时间则是有是有时钟分频产生的时钟作为时钟,对设置好的总时间每一秒减一,送到显示模块显示。5)显示状态分为目前的工作状态显示和洗衣时间的显示,目前工作状态的显示可以用四种不同颜色的LED灯显示,洗衣时间用两个数码管显示,等到洗沈阳理工大学数字集成电路课程设计报告33衣结束用蜂鸣器提醒。1.2电路接口根据电路信号功能将接口分为5部分,分别是时钟信号、复位信号、输入、输出信号。具体接口如下表1.2所示。表1.2总电路接口图名称IO属性描述备注clkin线路输入时钟频率10MHZ上升沿有效rstnin系统异步复位信号低电平有效startin系统总开关低电平有效upkeyin控制增加洗衣时间的按键高电平有效downkeyin控制减少洗衣时间的按键高电平有效forwardout正转的工作状态,接红色LED灯高电平有效stopout暂停的工作状态,接绿色LED灯高电平有效backout暂停的工作状态,接黄色LED灯高电平有效soundout工作结束,接蜂鸣器高电平有效1.3电路功能框图全自动洗衣机的功能主要体现在五个方面,洗衣时间的设定,按键的消抖,时钟的分频定时器设定和自减模块,其定时器的值输出给数码管译码模块,时序控制模块。根据它的功能模块画出它的功能框图1.1如下;沈阳理工大学数字集成电路课程设计报告44图1.1电路图图1.1功能模块1.4电路综合报告本电路是一种简单的时序控制和定时设计电路。是通过依照日常生活中全自动洗衣机的工作方式进行功能设计的过程,并将此电路设计分为五大模块,按键消抖模块,时钟分频模块,定时设定和自减模块,时钟中心控制模块,数码管显示模块。经过在仿真软件中的仿真,进而下载到FPGA开发板中进行实际的运行。进而检测电路的正确与否,经过验证后此洗衣机控制器可以按理想值进行工作。沈阳理工大学数字集成电路课程设计报告552约束策略及脚本2.1约束策略DC约束主要是读入/分析源文件、设定设计的工作条件/环境/设计规则、时序条件、面积约束、综合策略等。其中最重要的是时序约束,时序约束主要包括周期约束和偏移约束以及静态路径约束等三种。时序约束的基本作用是提高设计工作的频率,获得正确的时序分析报告。通过附加约束条件可以使综合布线工具调整映射和布局布线过程,使设计达到时序要求。例如用offset_in_before约束可以告诉综合器可以告诉综合布线工具输入信号在时钟之前什么时候准备好,综合布线工具可以根据这个约束调整与IPPAD相连逻辑电路的综合实现过程,使结果满足FFS的建立时间要求。2.1.1时序约束附加时序约束的

1 / 24
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功