数电 四位二进制减法计数器 课设

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

成绩评定表学生姓名高亮班级学号1103060128专业通信工程课程设计题目四位二进制减法计数器评语组长签字:成绩日期20年月日课程设计任务书学院信息科学与工程学院专业通信工程学生姓名高亮班级学号1103060128课程设计题目四位二进制减法计数器(缺0011,0100,0101,0110,1000)实践教学要求与任务:1、了解数字系统设计方法2、熟悉VHDL语言及其仿真环境、下载方法3、熟悉Multisim环境4、设计实现四位二进制减法计数器工作计划与进度安排:第一周熟悉Multisim环境及QuartusⅡ环境,练习数字系统设计方法,包括采用触发器设计和超高速硬件描述语言设计,体会自上而下、自下而上设计方法的优缺点。第二周1.在QuartusⅡ环境中用VHDL语言实现四位二进制减法计数器(缺0011,0100,0101,0110,1000),2.在Multisim环境中仿真实现四位二进制减法计数器(缺0011,0100,0101,0110,1000),指导教师:201年月日专业负责人:201年月日学院教学副院长:201年月日摘要本文首先在QuartusII8.1中建立名为count10的工程,并用四位二进制减法计数器的VHDL语言实现了四位二进制减法计数器的仿真波形图,继续进行相关操作锁定了所需管脚,将其下载到实验箱。然后通过选用四个时钟脉冲下降沿触发的JK触发器和同步电路,画出其时序图,卡诺图,由JK触发起的特征方程建立相关方程,进行计算,得出了四位二进制减法计数器(缺0011,0100,0101,0110,1000)的驱动方程。进而在Multisim软件中画出了四位二进制减法计数器的逻辑电路图。经过运行,由红灯的亮灭顺序及绿灯的状态还有在逻辑分析仪里出现与仿真波形一样的波形图。说明四位二进制减法计数器(缺0011,0100,0101,0110,1000)设计成功。关键字:四位二进制减法计数器;JK触发器;驱动方程;仿真波形图;逻辑电路图。1目录1、课程设计目的..............................................................................22、课程题目实现框图......................................................................23、实现过程......................................................................................33.1QuartusII8.1实现过程(VHDL)....................................................................................33.1.1建立工程......................................................33.1.2调试程序......................................................43.1.3波形仿真.....................................................113.1.4仿真结果分析.................................................153.1.5引脚锁定与下载...............................................153.2Multisim实现过程(电路设计).......................................................................173.2.1求驱动方程....................................................173.2.2画逻辑电路图..................................................213.2.2逻辑分析仪的仿真..............................................223.2.3仿真结果分析..................................................224、设计总结....................................................................................235、参考文献....................................................................................242一、课程设计目的1、了解数字系统设计方法。2、熟悉VHDL语言及其仿真环境、下载方法。3、熟悉Multisim环境,学会使用逻辑符号及元件画逻辑电路图。二、课程题目实现框图1、如图2-1所示是四位二进制减法计数器的结构示意框图。CP是输入减法计数脉冲,所谓计数,就是计CP脉冲个数,每来一个脉冲计数器就减一个1,当不够减时就向高位错位。2、根据二进制减法计数器的规律可以画出如图2-2所示的四位二进制减法计数器的状态图。11111100101110101001100001110110000000010010001101000101/0/0图2-14位二进制减法计数器的状态图3三、实现过程3.1QuartusII8.1实现过程(VHDL)3.1.1建立工程(1)点击File–NewProjectWizard创建一个新工程,系统显示如图3-2。图3-1QUARTUS软件的启动界面4(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3-3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图3-4所示,点击“是”按钮创建新目录,系统显示如图3-5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对话框,如图3-6,这里选中实验箱的核心芯片CYCLONE系列FPGA产品EP1C6Q240C8;(6)点击Next,系统显示如图3-7,提示是否需要其他EDA工具,这里不选任何其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图3-8,在窗口左侧显示出设备型号和该工程的基本信息等。图3-2工程创建向导的启始页5图3-4提示是否创建新文件夹图3-3输入工程名称、存储目录6图3-5提示是否添加文件提示是否添加文件图3-6芯片型号选择7图3-7提示是否利用其他EDA设计工具夹图3-8工程阐述汇总83.1.2调试程序为实现用一个拨码开关控制一个LED亮灭的功能,可用VHDL编写一个程序实现,具体操作过程如下:1)点击File-New创建一个设计文件,系统显示如图3-9;2)选择设计文件的类型为VHDLFile;3)点击OK,系统显示如图3-10,窗口右侧为VHDL的编辑窗口。图3-9创建一个设计文件94)在编辑窗口中编辑以下程序:libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entitycount10isPORT(cp,r:INSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));endcount10;ARCHITECTUREBehavioralOFcount10ISSIGNALcount:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(cp,r)图3-10新建的一个VHDL源文件的编辑窗口10BEGINifr='0'thencount=1111;elsiFcp'EVENTANDcp='1'THENifcount=1001THENcount=0111;elsifcount=0111THENcount=0010;ELSEcount=count-1;ENDIF;ENDIF;ENDPROCESS;q=count;endBehavioral;5)输入程序后,存盘,如图3-11所示:6)点击Processing-StartCompilation编译该文件,系统将开始编译,结束后,给出提示信息和编译结果,如图3-12所示:图3-11存盘113.1.3波形仿真(1)建立时序仿真文件,如图3-13所示,选择“VectorWaveformFile”,出现图3-14的界面,在Name空白处击右键,Insert→InsertNodeorBus。图3-12编译结果显示图3-1312在图3-15中单击图3-14仿真波形显示界面图3-1513在图3-16中单击,再单击→OK→OK。如图3-17所示:将仿真文件存盘时,过程与代码存盘一样,文件名字必须与顶层文件同名,即count10,默认即可。图3-16图3-1714图3-18是为仿真输入赋值的。如想赋值‘1’,单击。(2)单击菜单进行仿真。图3-19图3—1815仿真结果如图3-20。3.1.4仿真结果分析由仿真波形图可以清楚地看到在一个周期之内,即由小到大,依次完成了四位二进制减法计数的功能。其中由于缺了0011,0100,0101,0110,1000五个状态,即缺了十进制数中的3,4,5,6,8四个数,在波形仿真中,在这几个状态处发生跳变,即由0010跳到0111,再由0111跳到1001,然后由1111直接跳回到0000,即完成一个周期的计数,由此循环往复。3.1.5引脚锁定与下载左上侧Assignment选项中选Pins,给下侧Location分配引脚:cp-PIN_28q[3]-PIN_98q[2]-PIN_99q[2]-PIN_100q[1]-PIN_101r-PIN_58。图3-20仿真波形图16锁定管脚之后,右键点击Tools——〉Programmer,如下图3-22所示。如图,点击start,右上角progress框显示100%,即下载成功。图3-22下载界面图3-21锁定管脚界面173.2Multisim实现过程(电路设计)3.2.1求驱动方程相关结构示意框图和状态转换图见上(二)所示步骤。选择四个时钟脉冲下降沿触发的JK触发器,因要使用同步电路,所以时钟方程应该为CPCPCPCPCP3210。(1)求输出方程nQ1nQ0nQ3nQ20001111000000001XX0X11001010X000图3.2.1由图3.2.1所示卡诺图可直接得到输出方程C=nnnnQQQQ0123。(2)求状态方程18由所示状态图可直接画出如图3.2.1所示电路次态13nQ12nQ11nQ10nQ的卡诺图,再分解开便可以得到如图3.2.2所示各触发器的卡诺图。nQ1nQ0nQ3nQ2000111100011110000XXXX000101XXXXXXXX0010XXXX11101111001110110110XXXX011110101001图3.2.2次态13nQ12nQ10nQ的卡诺图将上述卡诺图对应拆成四个卡诺图,分别求出13nQ、12nQ、11nQ、10nQ表达式如下所示:nQ1nQ0nQ3nQ2000111100010X001XX0X11111110X011(a)13nQ的卡诺图19nQ1nQ0nQ3nQ2000111100010X001XX0X11011110X100(b)12nQ的卡诺图nQ1nQ0nQ3nQ2000111100010X001XX1X11101010X11020(c)11nQ的卡诺图(d)10nQ的卡诺图图3.2.3各触发器的卡诺图(1)根

1 / 27
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功