混合信号仿真入门-VerilogA

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

混合信号电路设计Verilog-A介绍主要内容混合信号电路设计介绍Verilog-A概述Verilog-A应用开关电容积分器射频电路仿真混合信号电路设计流程cadence设计方法cadence时间安排cadence建立行为模型?从顶向下的设计缩短设计周期适应快速变化的需求可重用的设计库公开行为模型库cadenceVerilog-A发表于1996年;事实上的标准描述模拟电路系统和单元的结构、行为及特性参数的模块化硬件描述语言其行为级模型能映射成SPICE网表,与SPICE子电路的仿真编译相同Verilog-A模块Verilog-Avs.Verilogmodule-moduleanalog-alwayselectrical-reg+-=基本行为描述线性非线性分段线性积分微分事件驱动模拟运算符时间微分:ddt时间积分:idt时间延迟:delayLaplace变换:laplace_zpZ变换:zi_zp离散滤波:transition,slew模拟事件:timer,cross开发模板模拟开关模块开发命名规范端口排列规范参数化编程规范应用环境测试验证开关电容积分器模拟开关行为模型沟道电阻:R=f(Vin,Vout,Vctrl)时钟馈通:Cov信号相关的开关动作:statecontrollerLauwersMiller运放Miller运放行为模型仿真比较Lauwers射频电路仿真瓶颈cadence仿真策略cadenceDCM(特征提取建模)由精确仿真结果生成Verilog-A模型基于模板,易于使用纯表格模型,仿真时间短,精度可靠DCMcadence仿真时间比较cadence仿真结果比较cadence混合信号电路设计流程cadence谢谢!

1 / 28
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功