用VHDL语言实现的数码管显示程序(包含动态和静态)

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityshumaguanisport(clk:instd_logic;x:outstd_logic_vector(7downto0);seg:outstd_logic_vector(7downto0));endentity;architecturebehaveofshumaguanissignalclk1:std_logic;beginprocess(clk)variablecount:integerrange0to49999999;beginif(clk'eventandclk='1')thenif(count=20000000)then--动态--if(count=1000)then--静态count:=0;clk1=notclk1;elsecount:=count+1;endif;endif;endprocess;process(clk1)variablea:integerrange1to8;beginif(clk1'eventandclk1='1')thenif(a=8)thena:=1;elsea:=a+1;endif;endif;caseaiswhen1=x=01111111;seg=11111001;when2=x=10111111;seg=10100100;when3=x=11011111;seg=10110000;when4=x=11101111;seg=10011001;when5=x=11110111;seg=10010010;when6=x=11111011;seg=10000010;when7=x=11111101;seg=11111000;when8=x=11111110;seg=10000000;whenothers=null;endcase;endprocess;endbehave;

1 / 2
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功