基于FPGA的彩灯控制器设计

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

基于FPGA的彩灯控制器设计郑州轻工业学院可编程数字系统设计题目基于FPGA的彩灯控制器设计学生姓名张焕焕专业班级电子信息工程10-2班学号541001030249院(系)电气信息工程学院指导教师胡智宏石军完成时间2013年6月21日基于FPGA的彩灯控制器设计郑州轻工业学院课程设计任务书题目基于FPGA的彩灯控制器设计专业、班级电信10-02班学号541001030249姓名张焕焕主要内容、基本要求、主要参考资料等:主要内容:要求学生使用硬件描述语言设计彩灯控制器的FPGA源程序,实现如下功能:设计一个彩灯控制器,使彩灯(LED管)可以实现四种花样循环变化及两种不同频率的闪烁,有复位开关。整个系统有七个输入信号CLK,CLR,A,B,C,D,OPT,六个输出信号控制六个彩灯。OPT的高低电平控制彩灯闪烁的快慢,A,B,C,D控制各种不同花样彩灯的变换。此彩灯控制系统设定有四种花样变化。四种花样分别为:a.彩灯从左到右逐次亮起,再逐次从右到左熄灭;b.彩灯两边同时亮两个,再逐次向中间亮;c.彩灯从左到右两个两个的亮,再从右到左两个两个逐次的亮;d.彩灯中间两个亮,再同时向两边散开。基本要求:1、掌握FPGA的程序设计方法。2、掌握硬件描述语言语法。3、程序设计完成后要求在软件中实现功能仿真。主要参考资料:1、周润景.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].电子工业出版社.2007,82、潘松黄继业.EDA技术实用教程(第二版).北京:科学出版社,2006.9完成期限:2013.6.21—2013.6.25指导教师签名:课程负责人签名:基于FPGA的彩灯控制器设计目录摘要.....................................................I中文摘要...................................................I英文摘要...............................................II1、概述....................................................11.1、设计目的...........................................11.2、设计要求...........................................12、系统设计................................................12.1、设计原理...........................................12.1.1系统原理设计图..............................................22.2、设计方案...........................................23、程序仿真过程............................................23.1仿真图..............................................34、实验结果分析............................................35、心得体会................................................46、致谢....................................................47、参考文献................................................58、附录....................................................6基于FPGA的彩灯控制器设计I基于FPGA的彩灯控制器设计摘要中文摘要随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。而在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和FPGA芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件使得EDA技术的应用走向普及。FPGA是新型的可编程逻辑器件,采用FPGA进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。关键词:VHDL彩灯仿真控制基于FPGA的彩灯控制器设计II英文摘要LanterncontrollerdesignbasedonFPGAWiththedevelopmentofscienceandtechnology,inmodernlife,colorfullightsasalandscapemoreandmoreapplications.However,intheelectroniccircuitdesigndomain,theelectronicdesignautomation(EDA)toolshavebecomethemaindesignmethod,VHDLlanguageisoneofthekeytechnologiesofEDA,itusesfromthetopdesignmethod,namelyfromtheoverallsystemrequirements,fromtoptobottomofthedesigntaskdecompositionintothedifferentfunctionmodule,finallyeachfunctionmoduleisconnectedtoformthetopmodule,theoveralldesignofsystemhardware.Therefore,thispaperintroducesthedesignandanalysisofmultiplelightscontrollerbasedontheEDAtechnique.IntheMAX+PLUSIIenvironmentusingVHDLlanguage,discussesthedigitalsystemdesignideaofVHDLlanguageandtheFPGAchipandtheimplementationprocessbasedon.ThedevelopmentofelectronicdesignautomationtechnologyofEDAhasbroughtrevolutionarychangestothedesignofelectronicsystems,theEDAsoftwaredesigntool,thehardwaredescriptionlanguage,programmablelogicdevicemakestheapplicationofEDAtechnologytospread.TheFPGAisprogrammablelogicdevicemodel,usingFPGAcanflexiblymoduleconfigurationofproductdevelopment,shortentheproductdevelopmentcycle,alsoisadvantageoustotheproducttotheminiaturization,theintegrateddirection.Keywords:VHDLlanternsimulationcontrol基于FPGA的彩灯控制器设计11、概述1.1、设计目的设计一个彩灯控制器,使彩灯(LED管)可以实现四种花样循环变化及两种不同频率的闪烁,有复位开关。整个系统有七个输入信号CLK,CLR,A,B,C,D,OPT,六个输出信号控制六个彩灯。OPT的高低电平控制彩灯闪烁的快慢,A,B,C,D控制各种不同花样彩灯的变换。此彩灯控制系统设定有四种花样变化。四种花样分别为:a.彩灯从左到右逐次亮起,再逐次从右到左熄灭;b.彩灯两边同时亮两个,再逐次向中间亮;c.彩灯从左到右两个两个的亮,再从右到左两个两个逐次的亮;d.彩灯中间两个亮,再同时向两边散开。1.2、设计要求掌握FPGA的程序设计方法。掌握硬件描述语言语法。程序设计完成后要求在软件中实现功能仿真。2、系统设计2.1、设计原理整个系统共有七个输入信号:基准时钟信号CLK,系统清零信号(复位信号)CLR,彩灯节奏快慢选择开关OPT;共有4个输出信号Q[3..0],分别用于控制四路彩灯。据此,我们可将整个彩灯控制器CDKZQ分为四大部分:彩灯花样控制部分、分频部分、定时部分和计数部分。当各个模块均完成上述操作之后,形成最后的四路彩灯显示系统,并且进行仿真。仿真通过,即可下载到指定的FPGA芯片里面,并进行实际连线,进行最后的硬件测试。把分频部分的不同频率的时钟信号CP输送到计数部分,从而控制彩灯闪烁速度的快慢,定时部分控制每种速度维持的时间长短。基于FPGA的彩灯控制器设计22.1.1系统原理设计图图表12.2、设计方案在电路中以1代表灯亮,以0代表灯灭,由0、1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。下面就以一个四路彩灯控制系统的实现为例进行简单说明。此四路彩灯控制系统设定有四种花样变化。彩灯图案状态变换的速度有快、慢两种。3、程序仿真过程startcompilation先编译generatefunctionalsimulationnetlist然后生成功能仿真网络表assignments–setting—simulationsetting设置成功能仿真然后新建一个vectorwaveformfile通过view—utilitywindows--nodefinder把输入输出管脚添加进波形仿真图里点击startsimulation基于FPGA的彩灯控制器设计33.1仿真图图表2由图可见:正常情况下灯按照花样abcd的顺序循环d在80NS---120NS的高电平使得花样变为D花样,D高电平结束,灯顺序进行A花样。OPT在80NS---170NS高电平使得灯变换速度提高2倍但是001100和100000这两种灯的状态任然占用了两个时钟周期,这是因为花样d和花样a状态转换之间没有立即衔接,导致220NS处CLR高电平使得灯全部清0,不再变化DIV2是二分频输出,由图可见是输入时钟CLK的二分频。4、实验结果分析实验表明,此设计方法能够满足多种不同花样彩灯的变化要求,并且该方法便于扩展不同变化模式的彩灯花样,同时又实现了彩灯的两种不同频率的闪烁。如果不按任何按键时候,灯就按四种花样顺序循环跑,如果按下某个键再松开,比如按下C键然后松开,那么不管之前灯跑到哪个花样,按键后灯就会从C代表的花样处开始跑,然后是D花样,然后是A花样,依次循环。但是A,B,C,D认为是按下会弹起的按键,因为这个程序里,如果一直按着按键不松手,会导致灯只是反复跑一个花样。比如按着B键不松手,那么就会反复跑B花样。还有不能允许同时按下两个键,三个键,4个键,也就是说,同一时刻ABCD只能有一个是高电平,否则会出错,因为这个程序并没有防错机制。基于FPGA的彩灯控制器设计45、心得体会本次设计的课题是彩灯控制器的设计,当拿到这个课题的时候经过分析就知道关键是计数器和分频器的使用,分频的方法有很多种,对于同一种功能的实现,用VHDL可以

1 / 18
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功