设计一个四变量的多数表决器

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

数字电子课程设计设计一个四变量的多数表决器班级:姓名:学号:指导老师:课题:设计一个四变量的多数表决器(通过为“1”,不通过为“0”)一.摘要通过与非门实现四变量表决电路(A.B.C.D)。每人一个按键,如果同意则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯亮,否则不亮。当有三人或四人按键(输入变量有三个或四个“1”)时,指示灯亮,表明表决通过(输出量为“1”),否则表明表决没通过(输出量为“0”)。关键词:与非门四变量表决器英语翻译Abstract:Throughsrandrealizefourvariablesvotingcircuit(A.B.C.D).Onekey,ifagreedtothepress,don'tagreewithisnotpress.Theresultswithindicatorsaidmostconsentindicator,otherwisenotbright.Whenthreeorfourpeoplebutton(inputvariableshasthreeorfour1),theindicatorlight,showthatvotethrough(outputfor1),orshowthatvotedidn'tpass(outputis0).Keywords:NandFourvariablesVoter二.设计要求四变量输入,表决通过为“1”,不通过为“0”。三.设计步骤1.确定输入、输出变量①输入变量:A、B、C、D―――→四名评判员②输出变量:F―――→灯③用正逻辑表示:A=1,表示同意,A=0表示判不同意;B=1,表示同意,B=0表示判不同意;C=1,表示同意,C=0表示判不同意。D=1,表示同意,C=0表示判不同意。F=1,表示灯亮,F=0表示灯不亮。2、列出真值表输入变量输出变量00000000100010000110010000101001100011113、函数式____F=ABCD+ABCD+ABCD+ABCD+ABCD4、卡诺图10000100101010010111110001101111101111115、根据卡诺图对函数式进行化简____F=ABCD+ABCD+ABCD+ABCD+ABCD6、画逻辑电路图根据化简的表达式画出对应的逻辑电路图。四.仿真结果1、仿真图○1当四人都同意即开关都闭合,灯亮。○2当三人同意,即有三个开关闭合,灯也亮。○3当有两人同意是,即有两个开关闭合,灯不亮。2、结果:经过仿真,设计符合要求。五.体会本次设计过程中,我经过查阅很多资料,向老师和同学们请教,不断思索,最终完成了本次设计设计过程中提高了我的理解以及分析能力,理论和实践相结合,不仅巩固了我的理论知识,同时更提高了我的实践能力,使我受益匪浅。最后,感谢在本次设计中老师、同学们对我的帮助和支持。六.结束语成功来自不断努力,只要努力了,成功一定会属于我们!

1 / 7
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功