EDA_抢答器_课设报告

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

江西理工大学应用科学学院SOPC/EDA综合课程设计报告设计题目:基于VHDL的四路抢答器设计者:袁尚瑜学号:08060108209班级:电气082指导老师:王忠锋完成时间:2011年1月17日设计报告综合测试总评格式(10)内容(40)图表(10)答辩(20)平时(20)1目录目录..............................................................................................................1一、方案设计与论证..................................................................................3二、单元电路设计......................................................................................4(一)抢答鉴别模块........................................................................................................4(二)计时模块................................................................................................................6(三)数据选择模块........................................................................................................8(四)报警模块..............................................................................................................10(五)译码模块..............................................................................................................12(六)分频模块..............................................................................................................13(七)顶层文件..............................................................................................................15(八)主电路连线图......................................................................................................18(九)将程序下载到芯片FLEX—EPF10LC84-4上,引脚图如下...........................18三、器件编程与下载................................................................................19四、性能测试与分析................................................................................19五、实验设备............................................................................................19六、心得体会............................................................................................20七、参考文献............................................................................................202层次化设计图形输入文本输入建立项目文件设计器件增益逻辑正确仿真分析引脚分配重新调整设计正确生成下载文件下载并验证NONOYESYES设计输入设计生成设计实现硬件下载程序设计流程图3一、方案设计与论证将该任务分成七个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、抢答器记分模块、分频模块、译码模块、数选模块、报警模块,最后是撰写顶层文件。1、抢答器鉴别模块:在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其余个绿抢答封锁的功能。其中有四个抢答信号s0、s1、s2、s3;抢答使能信号s;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号tmp。2、抢答器计时模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行30秒的倒计时,并且在30秒倒计时后无人抢答显示超时并报警。其中有抢答时钟信号clk2;系统复位信号rst;抢答使能信号s;抢答状态显示信号states;无人抢答警报信号warn;计时中止信号stop;计时十位和个位信号tb,ta。3、数据选择模块:在这个模块中主要实现抢答过程中的数据输入功能,输入信号a[3..0]、b[3..0]、c[3..0];计数输出信号s;数据输出信号y;计数脉冲clk2,实现a、b、c按脉冲轮流选通,在数码管上显示。4、报警模块:在这个模块中主要实现抢答过程中的报警功能,当主持人按下控制键,有限时间内人抢答或是计数到时蜂鸣器开始报警,有效电平输入信号i;状态输出信号q;计数脉冲clk2。5、译码模块:在这个模块中主要实现抢答过程中将BCD码转换成7段的功能。6、分频模块:在这个模块中主要实现抢答过程中实现输出双脉冲的功能。7、顶层文件:在这个模块中是对前七个模块的综合编写的顶层文件。4二、单元电路设计(一)抢答鉴别模块1、VHDL源程序libraryieee;--抢答鉴别模块useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityqdjbisport(rst,clk2:instd_logic;s0,s1,s2,s3:instd_logic;states:bufferstd_logic_vector(3downto0);tmp:outstd_logic);endqdjb;architectureoneofqdjbissignalst:std_logic_vector(3downto0);beginp1:process(s0,rst,s1,s2,s3,clk2)beginifrst='0'thentmp='0';st=0000;elsifclk2'eventandclk2='1'thenif(s0='1'orst(0)='1')andnot(st(1)='1'orst(2)='1'orst(3)='1')thenst(0)='1';endif;if(s1='1'orst(1)='1')andnot(st(0)='1'orst(2)='1'orst(3)='1')thenst(1)='1';endif;if(s2='1'orst(2)='1')andnot(st(0)='1'orst(1)='1'orst(3)='1')thenst(2)='1';5endif;if(s3='1'orst(3)='1')andnot(st(0)='1'orst(1)='1'orst(2)='1')thenst(3)='1';endif;tmp=s0ors1ors2ors3;endif;endprocessp1;p2:process(states(0),states(1),states(2),states(3))beginif(st=0000)thenstates=0000;elsif(st=0001)thenstates=0001;elsif(st=0010)thenstates=0010;elsif(st=0100)thenstates=0011;elsif(st=1000)thenstates=0100;endif;endprocessp2;endone;2、仿真图:6抢答鉴别模块图在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其余个绿抢答封锁的功能。其中有四个抢答信号s0、s1、s2、s3;抢答使能信号s;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号tmp。(二)计时模块1、VHDL源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityjsisport(clk,rst,s,stop:instd_logic;warn:outstd_logic;ta,tb:bufferstd_logic_vector(3downto0));endjs;architectureoneofjsissignalco:std_logic;beginp1:process(clk,rst,s,stop,ta)7beginifrst='0'orstop='1'thenta=0000;elsifclk'eventandclk='1'thenco='0';ifs='1'thenifta=0000thenta=1001;co='1';elseta=ta-1;endif;endif;endif;endprocessp1;p2:process(co,rst,s,stop,tb)beginifrst='0'orstop='1'thentb=0010;elsifco'eventandco='1'thenifs='1'theniftb=0000thentb=0011;elsetb=tb-1;endif;endif;endif;endprocessp2;endone;2、仿真图8计时模块图在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行30秒的倒计时,并且在30秒倒计时后无人抢答显示超时并报警。其中有抢答时钟信号clk2;系统复位信号rst;抢答使能信号s;抢答状态显示信号states;无人抢答警报信号warn;计时中止信号stop;计时十位和个位信号tb,ta。(三)数据选择模块1、VHDL源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitysjxzisport(a,b,c:instd_logic_vector(3downto0);clk2,rst:instd_logic;s:outstd_logic_vector(1downto0);9y:outstd_logic_vector(3downto0));endsjxz;architecturebody_chooserofsjxzissignalcount:std_logic_vector(1downto0);begins=

1 / 22
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功