8位ALU设计简介

整理文档很辛苦,赏杯茶钱您下走!

免费阅读已结束,点击下载阅读编辑剩下 ...

阅读已结束,您可以下载文档离线阅读编辑

资源描述

8位ALU设计一、设计概述一种基于可编程逻辑器件FPGA和硬件描述语言的8位的ALU的设计方法。该ALU采用层次设计方法,有寄存器模块、控制模块和显示模块组成,能实现8位无符号数的取值、加减和4种逻辑运算(与、或、异或、同或)。该ALU在QuartusII软件环境下进行功能仿真,通过DE2验证。二、设计功能1、该处理器的数据宽度为8bit,可以实现算术加法、减法、逻辑与、逻辑或、逻辑非、逻辑与非、逻辑或非和逻辑异或等8种运算。2、用选择端opcode[2:0]选择8种运算,2个操作数分别是a_r[7:0]和b_r[7:0],运算结果是alu_out[7:0];并定义选择如下。选择端opcode[2:0]运算结果解释说明000A操作数a_r[7:0]001B操作数b_r[7:0]010算术加法011算术减法100逻辑与101逻辑或110逻辑异或111逻辑同或3、使用DE2板上的3个拨码开关设置当前ALU的运算功能,再由8个拨码开关给定数据A和数据B,由一个按键key手动提供脉冲。三、设计方案本设计共有5个模块。1)脉冲输出器(key手动脉冲),计数依次产生4个脉冲到各个部件,第一个脉冲启动信号。2)寄存器A,第二个脉冲来时锁存数据A,并在数码管上显示。3)寄存器B,第三个脉冲来时锁存数据B,并在数码管上显示。4)8位ALU,第四个脉冲来时进行运算,并锁存结果alu_out。5)结果显示器,将结果显示通过DE2上的数码管显示。

1 / 1
下载文档,编辑使用

©2015-2020 m.777doc.com 三七文档.

备案号:鲁ICP备2024069028号-1 客服联系 QQ:2149211541

×
保存成功